gpt4 book ai didi

signals - Modelsim 和 GHDL 无法将 vhdl 用户定义的信号类型转储到 vcd 中?

转载 作者:行者123 更新时间:2023-12-01 02:37:13 31 4
gpt4 key购买 nike

我正在尝试从由 modelsim 或 ghdl 执行的模拟中转储内部信号。一切正常使用:

对于modelsim,添加vhdl源,然后编译:

vsim -novopt work.uut_testbench
vcd file ../uut.vcd;
vcd limit 50000000;
vcd add -r /uut_testbench/uut_core/*;
run 6000
quit -sim

对于 GHDL
ghdl -i --ieee=synopsys --warn-no-vital-generic --workdir=work --work=work ./uut*.vhd 
ghdl -m --ieee=synopsys --warn-no-vital-generic --workdir=work --work=work uut_testbench
./uut_testbench --stop-time=6000ns --vcd=../uut.vcd

我可以看到模拟信号,但不是全部。信号定义为
Type InternalState is (Idle,Valid,Stalled);
Signal sState,sPrevState :InternalState;

从 vcd 中省略。这种行为对于modelsim 和ghdl 很常见。

我可以在 ghdl 生成的 vcd 中看到以下行
$comment sstate is not handled $end

Modelsim 只是悄悄地忽略了这些信号

有解决方法吗?选择?

最佳答案

试试 Tony Bybell 的 gtkwave,您可以在其中指定枚举替换值(快速入门、别名文件和附加外部反汇编程序下的 gtkwave 手册)。 Gtkwave 还与 ghdl 的原生波形格式 (ghw) 兼容。见 Gtkwave on SourceForge ,有一个手册链接,您可以链接下载 W32 和 Mac 应用程序的二进制文件。它也应该可以通过几乎任何 Linux 发行版获得。

关于signals - Modelsim 和 GHDL 无法将 vhdl 用户定义的信号类型转储到 vcd 中?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/9655153/

31 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com