gpt4 book ai didi

for-loop - Verilog中的for循环如何执行?

转载 作者:行者123 更新时间:2023-12-01 01:30:22 25 4
gpt4 key购买 nike

Verilog中的for循环是否并行执行?我需要多次调用一个模块,但它们必须同时执行。我没有将它们一一写出来,而是考虑使用 for 循环。它会一样吗?

最佳答案

Verilog 描述了硬件,因此在这种情况下考虑执行循环或调用模块是没有意义的。如果我正确理解您的问题的意图,您希望具有不同输入和输出的同一模块的多个实例化。

为此,您可以使用 Verilog 的 generate statements自动生成实例化。

您也可以使用 auto_template functionality在 Emacs 的优秀 verilog-mode .我更喜欢这种方法,因为每个实例化都明确出现在我的源代码中,而且我发现更容易检测错误。

关于for-loop - Verilog中的for循环如何执行?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/5227608/

25 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com