- android - RelativeLayout 背景可绘制重叠内容
- android - 如何链接 cpufeatures lib 以获取 native android 库?
- java - OnItemClickListener 不起作用,但 OnLongItemClickListener 在自定义 ListView 中起作用
- java - Android 文件转字符串
几个月以来,我一直在使用 ghdl 进行学习。
现在我被迫使用 windows 并尝试在那里也使用 ghdl 和 gtkwave。
我的问题是:在我安装了 ghdl 之后,我试图编译一些代码。与:
ghdl -a aa.vhdl
ghdl -a bb.vhdl
...
ghdl -e test
与我在 Linux 中使用的相同。
但除了 work-obj93.cf 之外,它不会产生 ant 输出
如果我列出
ghdl -d
一切似乎都很好。所有执行都没有任何错误消息,但我没有得到二进制文件或任何东西。
最佳答案
参见 ghdl 用户指南 3.1.2 Elaboration command
On GNU/Linux the elaboration command creates an executable containing the code of the VHDL sources, the elaboration code and simulation code to execute a design hierarchy. On Windows this command elaborates the design but does not generate anything.
...
The actual elaboration is performed at runtime.
On Windows this command can be skipped because it is also done by the run command.
另见 1.3 What is GHDL? :
The Windows(TM) version of GHDL is not based on GCC but on an internal code generator.
称为 mcode 的内部代码生成器版本将可执行代码生成为内存数组,但不生成目标文件。没有优化,也没有可能链接到外部目标代码。
关于windows - ghdl 不生成二进制文件 (windows),我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/16515818/
我有一个 VHDL 程序,但我无法用 GHDL 详细说明它,因为要详细说明的实体在一个包中。如何使用 GHDL 详细说明包中的实体? 编辑: 感谢您的回答,过了一段时间我发现包中的代码类似于一个接口(
在用 VHDL 测试一个简单的生命游戏实现时,在打印出“测试结束”消息后,空测试台的 GHDL 模拟以 100% 的 CPU 使用率挂起。 代码如下: ----- Package ----------
几个月以来,我一直在使用 ghdl 进行学习。 现在我被迫使用 windows 并尝试在那里也使用 ghdl 和 gtkwave。 我的问题是:在我安装了 ghdl 之后,我试图编译一些代码。与: g
我写了一些vivado RTL,然后在实体的端口上添加了一些vhdl属性来定义Xilinx Vivado工具的接口(interface),如下所示: library ieee; use iee
我有一个 VHDL 测试台,我想将 32 位二进制字写入文件以进行测试。下面是一个最小的、完整的、可验证的示例。 当使用 GHDL(下面的命令)执行时,会在指示的行生成溢出。如果该行被注释掉,则执行成
我编写了一些 vhdl 代码,其中包含半加法器的实现。它只有几行代码,没有任何错误。 当我用 ghdl 编译它时,它会生成相应 vhdl 文件的 .o 文件。但是当我执行ghdl -e filenam
晚上好, 我想下载 GHDL,所以我做了这个命令: sudo apt-get install ghdl 但是我有一条消息说没有任何版本的 GHDL 包是 disponible 的,但它存在于数据库中。
我按照此步骤在我的 Debian 中安装了 GHDL 编译器,但现在我需要卸载此编译器才能安装 x64 版本,但我不能。 By downloading the binaries and unpacki
我是 ghdl 模拟器的新手,在 Windows 上使用 ghdl。我在我的电脑上安装了 ghdl (ghdl-0.33-win32) 并尝试了简单的加法器代码并且它有效。我目前需要模拟一些我使用过
我在使用 GHDL ( http://ghdl.readthedocs.io/en/latest/ ) 来模拟我的 VHDL 设计时遇到问题。所以,当我使用命令 ghdl -e Averager_tb
我试图用 GHDL 模拟 Xilinx GTXE2 收发器。在 GTXE2_CHANNEL.vhd 中,我收到一个错误,即在库“ieee”中找不到“std_logic_arith”。 首先,这是我的机
我刚刚写了一个简单的 VHDL 程序。我将文件保存为 .vhd 文件。然后我编译它 ghdl -a test.vhd 然后构建和可执行文件 ghdl -e test 最后尝试运行它 ghdl -r t
我正在尝试使用 ghdl 编译器编译 vhdl 代码。但是我缺少两个 util 库: util.misc_conv_pkg and util.vstring. 因此此代码不起作用 LIBRARY ut
我正在尝试从由 modelsim 或 ghdl 执行的模拟中转储内部信号。一切正常使用: 对于modelsim,添加vhdl源,然后编译: vsim -novopt work.uut_testbenc
我是一名优秀的程序员,十分优秀!