a; sc-6ren">
gpt4 book ai didi

c++ - 错误 : (E112) get interface failed: port is not bound - SystemC

转载 作者:太空狗 更新时间:2023-10-29 20:37:23 29 4
gpt4 key购买 nike

我的目标是创建一个使用桶形移位器进行加减运算的 ALU

alu.h

#include "systemc.h"       

SC_MODULE(alu){
sc_in<bool> op;
sc_in<sc_int<8> > a;
sc_inout<sc_int<8> > b;
sc_out<sc_int<8> > output;

void alu_method();

SC_CTOR(alu) {
SC_METHOD(alu_method);
dont_initialize();
sensitive << a,b,op;
}
};

alu.cpp

#include "alu.h"

void ALU::alu_method(){
if (op.read() == 0){
//substract
out.write(in.read() - in_bs.read());
}
else{
//add
out.write(in.read() + in_bs.read());
}
}

barrelshift.h

    #include <systemc.h>       
void make_barrel();

SC_MODULE(barrel_shift) {
sc_in<bool> clk;
sc_in<bool> enable;
sc_in<bool> left_right;
sc_in<sc_uint<3> > shift_amt;
sc_in<sc_int<8> > din;
sc_inout<sc_int<8> > dout;

void barrel_method();

SC_CTOR(barrel_shift) {

SC_METHOD(barrel_method);
dont_initialize();
sensitive << clk.pos(); //edge sensitive
}

};

barrelshift.cpp

    #include "barrelshift.h"

void barrel_shift :: barrel_method(){

if(enable.read() == 1){
if(left_right.read() == 0){ //shift left
dout.write(din.read() << shift_amt.read());

}else if(left_right.read() == 1){ // right shift
dout.write(din.read() >> shift_amt.read());
}
}
else
cout << "Not enabled "<<endl;
dout <= din;
}

sc_main.cpp

    #include <systemc.h>
#include "alu.h"
#include "barrelshift.h"

int sc_main(int argc, char* argv[]){
sc_trace_file *tf;

//Signals
sc_signal <bool> enable, op, l_r;
sc_signal <sc_int<8> > a, output,b, bin;
sc_signal < sc_uint<3> > shift_amt;

//Clock
sc_clock clk("clk",10,SC_PS,0.5);

alu myALU("myALU");
barrel_shift myShifter("myShifter");

myALU.a(a);
myALU.b(b);
myALU.output(output);
myALU.op(op);

myShifter.clk(clk);
myShifter.din(bin);
myShifter.enable(enable);
myShifter.left_right(l_r);
myShifter.shift_amt(shift_amt);
myShifter.dout(b);

tf = sc_create_vcd_trace_file("trace_file");
sc_trace(tf, clk, "clk");
sc_trace(tf, a, "a");
sc_trace(tf, bin, "BarrelShifter In");
sc_trace(tf, op, "op");
sc_trace(tf, shift_amt, "shift_amt");
sc_trace(tf, l_r, "left_right");
sc_trace(tf, enable, "enable");
sc_trace(tf, b, "b");
sc_trace(tf, output, "output");



sc_close_vcd_trace_file(tf);

cout << "The result from the ALU is: " << output.read();
}

构建时没有错误。但是每当我尝试执行它时,我都会收到以下错误:

Error: (E112) get interface failed: port is not bound: port 'myALU.port_0' (sc_in) In file: sc_port.cpp:231

是什么原因造成的,我该如何解决?

最佳答案

错误信息

Error: (E112) get interface failed: port is not bound: port 'myALU.port_0' (sc_in)

表示端口myALU.port_0未绑定(bind)到信号。但是alu中的哪个端口模块对应port_0

无论您使用何种硬件描述语言,最好为所有端口和信号命名,以便更容易诊断此类错误。

alu 中命名端口构造函数:

SC_CTOR(alu) :
op("op"),
a("a"),
b("b"),
output("output")
{
// ...

我无法重现您看到的错误。我看到了这个错误(在提供所有端口和信号的名称之后):

Error: (E115) sc_signal<T> cannot have more than one driver:
signal `signal_5' (sc_signal)
first driver `myShifter.dout' (sc_inout)
second driver `myALU.b' (sc_inout)

我注意到您的代码中存在一些其他问题:

  • 您的代码无法编译,因为在 alu_method() 中使用了错误的变量名.
  • sc_start()未在 sc_main() 中调用.
  • 有一个无效的sensitive来电alu() -- 应该是 sensitive << a << b << op;

关于c++ - 错误 : (E112) get interface failed: port is not bound - SystemC,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/34938625/

29 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com