gpt4 book ai didi

linux - 在 Linux 上编程 VHDL?

转载 作者:IT王子 更新时间:2023-10-29 00:21:35 27 4
gpt4 key购买 nike

有谁知道使用 Linux 编写 VHDL 并对其进行仿真(无论 Xilinx 还是 Altera)的良好环境?

最佳答案

您受困于任一供应商的工具,它们在 Linux 上充其量是参差不齐的(尽管我使用 Alteras 实用程序的经验比使用 ISE 好一些)。

但是,如果您只想运行测试台,而不是实际合成任何东西,ghdl 将很有用。

关于linux - 在 Linux 上编程 VHDL?,我们在Stack Overflow上找到一个类似的问题: https://stackoverflow.com/questions/3025034/

27 4 0
Copyright 2021 - 2024 cfsdn All Rights Reserved 蜀ICP备2022000587号
广告合作:1813099741@qq.com 6ren.com